Changes

Talk:Langage VHDL

212 bytes added, 19:33, 24 August 2016
constant VAL_X : std_logic_vector (19 downto 0) := to_stdlogicvector(x"EAE34"); -- ne marche pas
constant VAL_X : std_logic_vector (19 downto 0) := std_logic_vector(to_unsigned(x"EAE34",VAL_X'length)); -- ne marche pas
 
Merci pour les réponses de Rick et de Micheal sur la mailing liste de Fixme, voici sur Quartus, la déclaration qui fonctionne :
 
constant VAL_X : std_logic_vector(19 downto 0) := To_stdlogicvector(X"00012");
952
edits