Changes

Jump to: navigation, search

Talk:Langage VHDL

6 bytes added, 12:52, 13 September 2016
=> avancement projet : en cours
=> Date de modification : 0913/09/2016
=> problème rencontré :
constant VAL_X : std_logic_vector(19 downto 0) := To_stdlogicvector(X"EAE34");
attention, comme le langage VHDL est typé, la constante qui sera comparée par exemple à une variable, celle-ci devra être de la même taille sinon ceci provoquera des erreurs+ attention au raisonnement de la valeur hexadécimal que vous voulez la convertir en binaire, celle-ci prend 4 digit et non pas 1.
2) Réalisation d'un compteur avec gestion d'un nouveau signal d'horloge, mais lors de la simulation, j'ai des glitches qui sont là de manière périodique et j'ai écrit de différente manières le compteur toujours le meme résultats
clk_2Hz_SIM <= clk_2Hz
 
 
3) Apparemment sur Quartus II (web version 9.01) pour les constantes celle-ci sont limitées à 20bits, mais a confirmer ?!?!
952
edits