Changes

Jump to: navigation, search

Langage VHDL

1,410 bytes added, 11:52, 17 February 2017
/* Description Project */
* [DONE] read a table including a message and to display this message on two 7 Segments Display
* [DONE] the Segment value (B) will moving on the segment B -> and the Segment value A will dispear
* [DONE] the message moving will be of 500ms (2Hz)$ === Compteur 0 à 9 - DONE ===''In french :'' A l'aide d'une FPGA (EMP1270T144C5) et d'une carte électronique créée par l'ETML-ES, réalisation d'un programme en VHDL qui permet d'afficher sur un affichage 7 Segments les valeurs de 0 à 9. Deux switches vont permettre des sélectionner 4 fréquences d'horloges différentes (1Hz, 2Hz, 500Hz, 1kHz) et deux autres switches vont permettre de configurer le mode du compteur arrêt/marche + incrémente/décrémente * [FAIT] Réalisation de compteurs/diviseurs pour arriver au fréquence voulues * [FAIT] Sélection de la fréquence voulue * [FAIT] Gestion du mode comptage/décomptage * [FAIT] Gestion de l'affichage 7 Segment * [FAIT] Simulation avec Quartus* [FAIT] Programmation de la carte  ''In English :'' With an electronics board created by the ETML-ES School and equiped with a FPGA(EMP1270T144C5), realization program in VHDL which allows to display on 7SEG screen the values of 0 tto 9 (counter). Two switches will allow to select 4 different frequencies (1Hz, 2Hz, 500Hz, 1kHz) and two others will allow to configure the functional mode (START/STOP + increment/decrement counter) * [FAIT] counter/divisor for the desired frequency * [FAIT] Selection of frequency * [FAIT] management mode (START/STOP + increment/decrement ccounter) * [FAIT] Managment 7 Segments Display * [FAIT] Simulation with Quartus* [FAIT] Programming board
== Project Source ==
952
edits