Difference between revisions of "Langage VHDL"

From Fixme.ch
Jump to: navigation, search
(library)
(VHDL Code)
Line 20: Line 20:
  
 
== VHDL Code ==
 
== VHDL Code ==
 +
''IN FRENCH'' pour qu'un code VHDL soit au minium compilable/synthétisable, il faut qu'il ait un bloc d'entité ('''entity'''), une architecture et la déclaration de librairie ('''library''').
 +
 +
''IN ENGLISH'' a VHDL code need 3 parts : 1/ declaration of '''libraries''' 2/ declaration of '''entity''' 3/ declaration of '''architecture'''   
 +
 +
 
=== library ===
 
=== library ===
 
''IN FRENCH'': dans notre code VHDL pour que celu-ci puisse être compiler il faut ajouter une référence à une ou des librairies que nous voudrions utiliser.   
 
''IN FRENCH'': dans notre code VHDL pour que celu-ci puisse être compiler il faut ajouter une référence à une ou des librairies que nous voudrions utiliser.   

Revision as of 23:25, 22 April 2016


Description

In french :

En se basant sur des livres sur le VHDL, des supports de cours ou sur le net directement, ce wiki a pour but de montrer des exemples de codes réalisés sur des plaques d'évaluation.

L'onglet discussion peut être fort intéressant concernant des astuces, questions, problèmes rencontrés lors de développement en VHDL. Alors n'hésiter pas à compléter ce wiki et la page discussion et le projet "GITHUB" qui lui sera associé :-)

In English:

Based on the VHDL Books, cours support or links on the net, this wiki has for goal to show some VHDL examples releazied on experimental board.

Development Environment

For Windows

-> Dev environment for Philou :

  • Windows Seven SP1
    • Quartus II Version 9.1 SP2

VHDL Code

IN FRENCH pour qu'un code VHDL soit au minium compilable/synthétisable, il faut qu'il ait un bloc d'entité (entity), une architecture et la déclaration de librairie (library).

IN ENGLISH a VHDL code need 3 parts : 1/ declaration of libraries 2/ declaration of entity 3/ declaration of architecture


library

IN FRENCH: dans notre code VHDL pour que celu-ci puisse être compiler il faut ajouter une référence à une ou des librairies que nous voudrions utiliser.

IN ENGLISH: for that our VHDL code compiles, we need to use the different libraries, below the most library used.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

Link



En cours de création