Changes

Jump to: navigation, search

Langage VHDL

374 bytes added, 18:14, 5 April 2017
/* Description Project */
* [FAIT] Simulation with Quartus
* [FAIT] Programming board
 
=== Effect Mirror on the 7 Segments ===
''In french :'' A l'aide d'une FPGA (EMP1270T144C5) et d'une carte électronique créée par l'ETML-ES, réalisation d'un programme en VHDL qui permet d'afficher sur deux affichages 7 Segments les valeurs de A é D. Deux switches vont permettre des sélectionner 4 modes : NORMAL - HORIZONTAL - VERTICAL - PAS D AFFICHAGE.
* [FAIT]
== Project Source ==
939
edits