Changes

Jump to: navigation, search

Langage VHDL

242 bytes added, 14:04, 26 July 2016
/* 7 Segment Display */
== Description Project ==
=== 7 Segment Display ===
''In french :'' '''PART 1''' A l'aide d'une FPGA (EMP1270T144C5) et d'une carte électronique créée par l'ETML-ES, réalisation d'un schéma logique concernant l'affichage 7 segments (de 0 à F) sous Quartuset ensuite réaliser le code en VHDL.
* 4 entrées correspondant à des switch
* [FAIT] réalisation d'une table de vérité
* [FAIT] simplification de la table de vérité par karnaugh et trouvé les équations logiques
* [FAIT] réalisation du schéma logique sous Quatrus
* [FAIT] Simulation avec Quartus * [EN COURS] Réalisation d'un code VHDL selon les équations trouvé avec les tables de Karnaugh
''In English :'' With an electronics board created by the ETML-ES School and equiped with a FPGA, realization of logic schemtatics concerning the 7 Segments dispaly (0 to F) under Quartusand to write a VHDL Code.
* 4 inputs : (switches)
* [DONE] Realization of a truth table
* [DONE] Realization of logic schematics (Quartus)
* [DONE] Simulation with Quartus
* [IN PROGRESS] realization VHDL code according the Karnaugh Table
 
<gallery>
939
edits