Changes

Jump to: navigation, search

Langage VHDL

6 bytes added, 09:38, 28 July 2016
/* 7 Segment Display */
== Description Project ==
=== 7 Segment Display - DONE ===
''In french :'' '''PART 1''' A l'aide d'une FPGA (EMP1270T144C5) et d'une carte électronique créée par l'ETML-ES, réalisation d'un schéma logique concernant l'affichage 7 segments (de 0 à F) sous Quartus et ensuite réaliser le code en VHDL.
* 4 entrées correspondant à des switch
* [DONE] Simulation with Quartus
* [DONE] realization VHDL code according the Karnaugh Table
 
<gallery>
939
edits